收藏本站 我要纠错

译码器选购指南

关注指数:13130
  • 128选1数据选择器有多少位地址译码器

    128选1数据选择器有7位地址译码器。二进制8位等于256,7位等于128. [查看全文]
  • 原装正品 直插 SN74HC138N DIP-16 逻辑芯片 译码器/数据选择器
    1.7元
    原装正品 直插 SN74HC138N DIP-16 逻辑芯片 译码器/数据选择器
  • 译码器的作用是什么?

      一、解释译码器:  1、重点词解释:  什么是译码?将具有特定含义的二进制代码变换(翻译)成一定的输出信号,以表示二进制代码的原意,这一过程称为译码。译码是编码的逆过程,即将某个二进制代码翻译成电路的某种状态。  2、解释译码器:  什么是译码器?实现译码功能的组合电路称为译码器。译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。  二、译码器的作用解释:  译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数... [查看全文]
  • 如何用VHDL语言编程实现一个3-8线译码器

    --======================================-- Decoder--======================================library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity dec3_8 is port ( din : in std_logic_vector(2 downto 0) ; dout : out std_logic_vector(7 downto 0) ; );end dec3_8 ;architecture RTL of dec3_8 isbegin dout [查看全文]
  • 译码器的逻辑功能是什么

    (1)实现逻辑函数; (2)实现存储系统的地址译码; (3)带使能段的译码器可用作数据分配器或脉冲分配器。 [查看全文]
  • 数据选择器和译码器如何实现扩大

    数据选择器和译码器上1般还有1个片选引脚,利用这个引脚来将多块芯片组和(有时可能需要外部的小范围电路辅助),就能够扩大了。 [查看全文]
  • 用4片四选一选择器与2-4线译码器实现十六选一选择器

    高2位接.2-4线译码器..出来的4条线分别接四选一选择器的控制端CS' 这样,就有16个数据线的输入.. 低2位接每个四选一的A1.A0两个地址线.. 高低共四位为,,十六选一的地址线.. 希望不要等到问题自动关闭 [查看全文]